Release Notes For ModelSim Intel FPGA 10.5b Oct 05 2016 Copyright 1991-2016 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation. The original recipient of this document may duplicate this document in whole or in part for internal business purposes only, provided that this entire notice appears in all copies. In duplicating any part of this document the recipient agrees to make every reasonable effort to prevent the unauthorized use and distribution of the proprietary information. TRADEMARKS: The trademarks, logos and service marks ("Marks") used herein are the property of Mentor Graphics Corporation or other third parties. No one is permitted to use these Marks without the prior written consent of Mentor Graphics or the respective third-party owner. The use herein of a third-party Mark is not an attempt to indicate Mentor Graphics as a source of a product, but is intended to indicate a product from, or associated with, a particular third party. The following are trademarks of of Mentor Graphics Corporation: Questa, ModelSim, JobSpy, and Signal Spy. A current list of Mentor Graphics trademarks may be viewed at www.mentor.com/terms_conditions/trademarks.cfm. End-User License Agreement: You can print a copy of the End-User License Agreement from: www.mentor.com/terms_conditions/enduser.cfm. _______________________________________________________________________ * How to Get Support ModelSim Intel FPGA is supported by Intel + World-Wide-Web Support [1]http://www.altera.com/mySupport _______________________________________________________________________ Index to Release Notes * [2]Key Information * [3]Release Announcements in 10.5b * [4]Base Product Specifications in 10.5b * [5]Compatibility Issues with Release 10.5b * [6]User Interface Defects Repaired in 10.5b * [7]SystemVerilog Defects Repaired in 10.5b * [8]VHDL Defects Repaired in 10.5b * [9]SystemC Defects Repaired in 10.5b * [10]SystemVerilog Enhancements in 10.5b _______________________________________________________________________ Key Information * The following lists the supported platforms: + win32aloem - Windows 7, Windows 8, Windows 10 + linuxaloem - RedHat Enterprise Linux 5 and 6, SUSE Linux Enterprise Server 10 and 11 _______________________________________________________________________ Release Announcements in 10.5b * [nodvtid] - In the next major release (10.6), support for Redhat Enterprise Linux (RHEL) 5 and Suse Linux Enterprise Server (SLES) 10 will be dropped. * [nodvtid] - Release 10.5 uses FLEXnet v11.13.1.2 server, v11.13.0.2 client. For floating licenses, it will be necessary to verify that the vendor daemon (i.e., mgcld) and the license server (i.e., lmgrd) have FLEXnet versions equal to or greater than 11.13.0.2. If the current FLEXnet version of your vendor daemon and lmgrd are less than 11.13.0.2 then it will be necessary to stop your license server and restart it using the vendor daemon and lmgrd contained in this release. If you use node locked licenses you don't need to do anything. This release will update licensing to MSL v2015_1_patch2 with MGLS v9.13_5.4 and PCLS v9.13.5.2 In summary, this release uses the following license versions: + FLEXnet v11.13.1.2 server, v11.13.0.2 client + MSL v2015_1_patch2 + MGLS v9.13_5.4 + PCLS v9.13.5.2 + [nodvtid] - 10.5 release onwards, Questa will be using Microsoft Visual Studio 12 a.k.a Visual Studio 13. This would mean that all the executables shipped with the 10.5 release and onwards would be linked in with "msvcr120.dll". If you have SystemC or PLI/VPI/DPI/FLI libraries compiled with older release with any gcc compiler shipped with the product, those will have to be re-compiled with 10.5. Windows platform compiler details is as follows: o "gcc-4.2.1-mingw32vc9" has been replaced with "gcc-4.2.1-mingw32vc12" and is shipped with the product. o "gcc-4.5.0-mingw64" has been replaced with "gcc-4.5.0-mingw64vc12" and is shipped with the product. o "gcc-4.5.0-mingw32vc9" has been replaced with "gcc-4.5.0-mingw32vc12" and will be available for download on a request basis. Compiler versions have not been changed compared to previous release. Please refer to User's Manual for more details. o [nodvtid] - (source) In the next major release (10.6) # support for Windows 8.0 will be dropped. No change for Windows 8.1 # support for gcc-4.3.3-linux and gcc-4.3.3-linux_x86_64 will be dropped ______________________________________________________________ Base Product Specifications in 10.5b + [nodvtid] - [Supported Platforms] Linux RHEL 5 x86/x86-64 Linux RHEL 6 x86/x86-64 Linux RHEL 7 x86/x86-64 Linux SLES 10 x86/x86-64 Linux SLES 11 x86/x86-64 Windows 7 x86/x64 Windows 8 x86/x64 Windows 10 x86/x64 [Supported GCC Compilers (for SystemC)] gcc-4.7.4-linux/gcc-4.7.4-linux_x86_64 gcc-4.5.0-linux/gcc-4.5.0-linux_x86_64 gcc-4.3.3-linux/gcc-4.3.3-linux_x86_64 gcc-4.2.1-mingw32vc12 [OVL (shipped with product)] v2.8.1 [VHDL OSVVM (shipped with product)] v2014.07 [Licensing] FLEXnet v11.13.1.2 server, v11.13.0.2 client MSL v2015_1_patch2 MGLS v9.13_5.4 PCLS v9.13.5.2 ___________________________________________________________________ Compatibility Issues with Release 10.5b Key Information Compatibility * [nodvtid] - (source) A bug related to Windows DLL symbol export is fixed in 10.5 and later. A side effect of the fix is that there may be incompatibility on Windows platforms regarding existing DPI usage. The required setup change is one of the followings: 1) Use vlog -dpiheader to generate DPI routine prototype declarations. Include generated DPI header file in the DPI code. OR 2) If DPI header is not being generated or used, one needs to manually attach DPI_DLLESPEC in front of all DPI routines. DPI_DLLESPEC is a standard macro defined inside svdpi.h The generated DPI header flow is recommended. Failing to do the above will incur the following warning at elab time # ** Warning: (vsim-3770) Failed to find user specified function 'foo' in DPI C/C++ source files. and the fatal error at runtime: # ** Fatal: (vsim-160) test.sv(11): Null foreign function pointer encountered wh en calling 'foo' SystemVerilog Compatibility * dvt85937 - (results) Fixed the issues that DPI disable protocol was not followed when a thread is terminated either by "disable fork" or "process:kill" Release Announcements Compatibility * [nodvtid] - (source) In the next major release (10.6) + support for Windows 8.0 will be dropped. No change for Windows 8.1 + support for gcc-4.3.3-linux and gcc-4.3.3-linux_x86_64 will be dropped _______________________________________________________________________ User Interface Defects Repaired in 10.5b * dvt86473 - Objects window will mis-sort items when the Active time is inactive. * dvt87174 - Using vsim option -title inside a -f file will result in an error. * dvt87144 - An error is produced when attempting to use the fixed point radix types in a post-sim mode. This issue has been resolved. _______________________________________________________________________ SystemVerilog Defects Repaired in 10.5b * dvt85937 - (results) Fixed the issues that DPI disable protocol was not followed when a thread is terminated either by "disable fork" or "process:kill" * dvt86203 - Fix a crash in vsim when automatic variables for a class are declared within an if-then-else statement with a fork/join and wait. _______________________________________________________________________ VHDL Defects Repaired in 10.5b * dvt86918 - When an inner nested GENERATE block contained a slice name whose slice range depended in an outer FOR-GENERATE's loop parameter, and when a component instantiation in the inner GENERATE contained a GENERIC MAP association element that had an actual that depended on this slice name, then the compiler would create bad code that could crash the simulator. * dvt86935 - Fixed a crash where a VHDL port which is an array of std_logic, the actual of the port is a slice of a static expression and the port is connected to a Verilog port. * dvt86813 - A CASE GENERATE statement that contained an external name in the expression or in a choice in an alternative would sometimes cause a simulator crash. _______________________________________________________________________ SystemC Defects Repaired in 10.5b * [nodvtid] - Fixed DPI and SystemC link time errors due to different standard library installation paths on some linux distributions. Library paths looked up at link time will be "/usr/lib64", "/usr/lib/x86_64-linux-gnu" for 64-bit and "/usr/lib/i386-linux-gnu", "/usr/lib/i686-linux-gnu", "/usr/lib32" for 32-bit platforms * dvt86850 - In certain scenarios, where systemc.so would not unload during shared library cleanup and the design has global SystemC objects, vopt or vsim may crash at exit time trying to print an error message. This issue is now fixed. _______________________________________________________________________ SystemVerilog Enhancements in 10.5b * [nodvtid] - vlog's -E output would not emit `line directives with anything other than '0' for the "level" parameter. Valid values for the "level" parameter are 0, 1 or 2.